资讯详情
331-0301// LAM RESEARCH 715-460214-003
发布者:xlykj02  发布时间:2024-05-13 16:36:06
P/N/Description Qty
iQDP40 Edwards iQ Dry Pumping System Vacuum Pump -1 Hour Tested Working Spare 1
Edwards iQDP40 Vacuum Pump - TEST 1
Edwards iQDP40 with QMB250 Vacuum Pump - TEST 1
Edwards iQDP40 with QMB250 Vacuum Pump - TEST 1
iQDP80 Edwards A532-80-905 Dry Vacuum Pump 22469 Hours Tested Working Spare 1
Neslab HX-75 - TEST 1
Neslab HX-150 - TEST 1
Edwards QDP40 with QMB250 - TEST 1
iQDP40 Edwards A532-40-905 Dry Vacuum Pump -3580 Hours Tested Working Spare 1
iQDP40 Edwards A532-40-905 Dry Vacuum Pump Tested Working Needs Rebuild 1
HFG 2500 AE Advanced Energy 3155072-000B RF Power Supply Tested Working Spare 2
RFG 3000 AE Advanced Energy 3155038-000G RF Power Supply Tested Working Spare 1
Osaka Vacuum TD701/1101 Turbo Controller - TEST 6
RFG 5500 AE Advanced Energy 660-098719-001 RF Generator 3155051-011 Working 1
CX-2500 4MHz Comdel FP3304RH RF Generator - TEST 1
RFG 3000 AE Advanced Energy 660-024637-100 RF Power Supply Tested Working Spare 1
Comdel CPMX-3000/RJ/4 RF Matching Network Lam Research FPD Working Spare 1
Comdel CPMX-3000/4/RJ RF Matching Network Lam Research FPD Working Spare 1
Comdel FP2414R3 RF Matching Network CPMX-3000/4/RJ Lam Research FPD Spare 1
Osaka Vacuum TG113EM Turbomolecular Pump Turbo - TEST 5
Osaka Vacuum TG1113MBA Turbomolecular Pump Turbo - TEST 1
VAT 64246-XE52-1107 Gate Valve and PM-5 Controller 641PM-36PM-1001 Set Lam FPD 3
VAT 64246-XE52-1107 Gate Valve and PM-5 796-093088R001 Controller Set Lam FPD 1
VAT 64246-XE52-1107 Gate Valve and PM-5 641PM-36PM-0002 Controller Set Lam FPD 1
VAT 64246-XE52-1107 Gate Valve and PM-5 641PM-36PM-1004 Controller Set Lam FPD 1
Lam Research 853-540066-005-C-3117 End-Point Detector FPD Continuum Spare 2
Verity Instruments EP200Mmd .2 Meter Monochromator Detector Lam FPD Continuum 2
Lam Research TCP Autotune RF Match Controller Unit FPD Continuum 810-015987-101 3
Anafaze 11225-00 MLS AIM TB Assembly MLS-LAM Lam Research 778-091827-001 Working 1
Hine Design 10011-802 FPDVCI Control Emergency Stop PCB Lam FPD Continuum Spare 1
Pittman 676-5800 Servo Motor Sterling S9123A-PG022 Hine 1704-2311 Lam Continuum 2
MKS Instruments 93-4536 Ultra High Vacuum Right Angle Two-Stage Pneumatic Valve 2
Lam Research 853-032292-001-A-DFT RF Tune/Load Motor Assembly Lam Continuum 3
MKS Instruments 100763506G ISO-63 ISO-MF Flange Bellows Lam Continuum Working 2
MKS Instrumnets 152-0050K-S02 Isolation Vacuum Valve NW50 Lam Continuum Spare 2
Edwards C41419000 Vacuum Angle Valve PV40PKS-LAM Lam Research Continuum Spare 2
Inficon 365-011 Vacuum Capacitance Diaphragm Gauge CDG025 SKY CDG Working Spare 2
Inficon 365-011 Vacuum Capacitance Diaphragm Gauge CDG025 SKY CDG Working Spare 1
MKS Instruments 623A13TBE Baratron Capacitance Manometer Type 623 Working Spare 1
Tylan General CDL-12S13 Baratron Manometer Lam 685-092784-001 Continuum Spare 2
Millipore CDL-12S13 Baratron Manometer Lam 685-092784-001 Continuum Spare 1
Inficon 3CC1-351-2300 Vacuum Capacitance Diaphragm Gauge CDG045D CDG Spare 1
MKS Instruments 625A-12407 Baratron Capacitance Manometer Type 625 Working Spare 2
VAT 26328-KA11-1001 Pneumatic Right Angle Vacuum Valve Lam FPD Continuum Spare 3
Varian L6280-302 Manual Bellows Valve NW-25-H/O Lam FPD Continuum Working Spare 1
MKS Instruments 109070019CE Analog Convection Transducer ACT Series 907 Lot of 3 1
UNIT Instruments UFC-1660 Mass Flow Controller MFC 500 SCCM N2 Working Spare 1
UNIT Instruments UFC-1660 Mass Flow Controller MFC 500 SCCM HCL Working Spare 1
UNIT Instruments UFC-1660 Mass Flow Controller MFC 1 SLM CL2 Working Spare 1
UNIT Instruments UFC-1660 Mass Flow Controller MFC 500 SCCM Ar Working Spare 2
UNIT Instruments UFC-1660 Mass Flow Controller MFC 500 SCCM CF4 Working Spare 3
UNIT Instruments UFC-1660 Mass Flow Controller MFC 500 SCCM SF6 Working Spare 3
Aera FC-7700CD Mass Flow Controller MFC 500 SCCM Ar Working Spare 1
Aera FC-7700CD Mass Flow Controller MFC 1 SLPM CL2 9-Pin D Working Spare 1
Aera FC-7810CU Mass Flow Controller MFC 1 SLPM CL2 Card-Edge Working Spare 1
Aera FC-7810CU Mass Flow Controller MFC 500 SCCM HCL Working Spare 1
Aera FC-7800C Mass Flow Controller MFC 500 SCCM N2 Working Spare 1
Aera FC-7810CU Mass Flow Controller MFC 100 SCCM O2 Working Spare 2
Aera FC-7800CU Mass Flow Controller MFC 100 SCCM O2 Working Spare 2
UNIT Instruments UPC-1300 Pressure Controller UPC MFC Lam 797-097183-104 Spare 2
UNIT Instruments UFC-1200A Mass Flow Controller 500 SCCM CHF3 Working Spare 1
UNIT Instruments UFC-1200A Mass Flow Controller Lam 797-098570-604 Working Spare 1
Precision Sensors D48W-14 Differential Pressure Switch Reseller Lot of 2 Spare 1
Precision Sensors E36W-H55 Absolute Pressure Switch Lam 768-093959-003 Lot of 2 1
MKS Instruments 109070019CE Analog Convection Transducer ACT Series 907 New 1
MKS Instruments 628B.1TBF2B Baratron Capacitance Manometer Working Spare 1
Hine Design 810-4930-01 Uno Motor Control PCB Lam Research FPD Continuum Spare 1
Lam Research 810-190402-001 ESC 5kV Power Supply Board PCB Continuum Spare 1
Orbot Instruments S2X-018009 PCB ORBOT-S2X-018008-REV A Lam Continuum Spare 1
Festo DSM-16-270-P-FW Rotary Actuator Pneumatic Cylinder Reseller Lot of 2 Spare 1
Lam Research 853-015982-001-D-3653 TCP RF Tune/Load Module FPD Continuum Spare 1
Lam Research 853-015982-001-D-C519 TCP RF Tune/Load Module FPD Continuum Spare 1
Lam Research 518-025348-001 Temperature Calibration Source FPD Continuum Spare 1
Lam Research 715-704170-001 Wafer Chuck Lift 715-704169-001 FPD Continuum Spare 1
Brooks Automation 001-1984 Robot Teach Pendant 001-1863-01 Working Spare 1
Verity EP200Mmd .2 Meter Monochromator Detector Lam 853-704360-001-E3 Spare 1
Lam Research 810-707022-001 Gas Box Expansion Board PCB Continuum Working Spare 3
Lam Research 810-015987-101 TCP Autotune Board PCB Continuum Working Spare 1
Keyence SL-C Series Safety Light Curtain Set SL-C16F-R SL-C16F-T SL-R11 Spare 1
Deltron 11686XA Power Supply 666 Watts Lam Research FPD Continuum Working Spare 2
Deltron 11686XB Power Supply 666 Watts Lam 853-707255-001 FPD Continuum Working 2
Brooks Automation 001-7338-09 Robot MagnaTran 60 Lam FPD Continuum Spare As-Is 1
Dell Optiplex 755 Alliance Envision Backup Computer Lam Research Continuum Spare 1
Kimball 70-00153-01 System Computer Lam Research 685-097073-241 Continuum Spare 1
FEI Company CLM-3D System Computer PC 200mm CLM DualBeam Metrology System As-Is 1
QMB Edwards QMB250 Vacuum Pump Mechanical Booster Vacuum Pump Tested Working 1
iQDP40 Edwards iQ Dry Pumping System Vacuum Pump Tested Working Needs Rebuild 3
Edwards A52857000 iQDP Seal Purge Module Dry Vacuum Pump Tested Working Spare 3
Edwards iQDP40 Thermostatic Water Temperature Valve QMB Danfoss 003N2110 Spare 3
QMB Edwards QMB250F Vacuum Pump Mechanical Booster Vacuum Pump Tested Working 1
Edwards iQDP40 Thermostatic Water Temperature Valve QMB Danfoss 003N2120 Spare 2
Edwards A52858000 QDP Gas Ballast Module Dry Vacuum Pump Tested Working Spare 1
Edwards D37211920 Gas Flash TP/XF-78 Control PCB D37211202 801-1047-01 Spare 1
Kofloc 3810U Mass Flow Sensor 60L/Min N2 Edwards Dry Vacuum Pump Working Spare 1
Anafaze 11225-00 MLS AIM TB Temperature Unit MLS-LAM Lam 778-091827-001 Spare 1
Seiko Precision VP-4500 Thermal Video Printer 220-240VAC Working Spare 1
FEI Company 4035-285-18801 Microscope Stage Control Panel 24470 CLM-3D Spare 1
APTech AP1010S 2PW MV4 FV4 Manual Regulator Valve Reseller Lot of 12 Working 2
Lam Research 853-707093-001 RF Cable 4.5 Feet FPD Continuum Working Spare 2
Lam Research 853-707092-002 RF Cable 7.5 Feet FPD Continuum Working Spare 2
Lam Research 853-707092-003 RF Cable 7.5 Feet FPD Continuum Working Spare 1
Lam Research TRU-6834A-08L1 RF Cable 7.5 Feet FPD Continuum Working Spare 1
VAT 81392 Master/Slave Interface Cable Adaptive Pressure Controller PM-5 Spare 3
CTI-Cryogenics 8080025K001 Adsorber Helium Filtration Cartridge IS-1000 Used 1
RFX 600A AE Advanced Energy 3155082-331 D RF Generator Tested Working Spare 3
iQDP40 Edwards iQ Dry Pumping System Vacuum Pump 2 Hours Tested Working Spare 1
Lam Research 853-017160-002-F-C301 Rear EMO Assembly PCB 810-017003-004 Spare 1
UNIT Instruments UFC-8160 Mass Flow Controller MFC 1 SLM N2 Working Spare 1
UNIT Instruments UFC-8160 Mass Flow Controller MFC 1 SLM O2 Working Spare 1
UNIT Instruments UFC-8160 Mass Flow Controller MFC 100 SCCM CF4 Working Spare 1
UNIT Instruments UFC-8160 Mass Flow Controller MFC 500 SCCM N2 Working Spare 1
Tokyo Electron Ltd. / TEL D124680-150 Sputter Shield. New Old Stock < 2
TEL Tokyo Electron CT2985-436088-W5 SCT-R Coat Process Station Cup Assembly Used 1
Nikon 4S018-384 Driver Card PCB AFDRVX2 NSR-S202A Step-and-Repeat Working Spare 1
Nikon 4S018-354 Control Board PCB AIRCTRL3 NSR-S204B Step-and-Repeat Spare 1
Nikon 4S015-119 Processor Board PCB Card NK386SX4 4S015-150 NSR System Spare 1
Nikon 4S018-351-A Control Board PCB Card OPDCTRL2 NSR System Working Spare 1
AMAT Applied Materials 0200-02597 300mm Internal Insulator Rev. 003 Refurbished Unlisted 3
AMAT Materials 0010-05940 RH-3 Magnet RP Assembly Rev. 002 Used Working unlisted 1
AMAT Applied Materials 0010-21748 RF Match Preclean/RPC PVD Assembly Rev. 007 CU Used Unlisted 1
AMAT Applied Materials 0040-62718 Bond 300mm Electrode Single Crystal Showerhead New 1
AMAT Applied Materials 0020-06108 LID HPM Dual Gas Feed 300mm DPS 601-108-45 New 1
AMAT Applied Materials 0200-05638 Blade Ceramic Open 300mm New 1
AMAT Applied Materials 0190-A1490 Rev. A Ceramic Wafer Chuck AP32DDR-RF Refurbished 1
Nikon 4S015-129 Processor Board PCB Card BUSMON4 NSR System Working Spare 1
Nikon 4S018-379 Scanner Board PCB Card SPIOX2 NSR System Working Spare 1
iH1000 Edwards A533-42-945 Dry Vacuum Pump System Codes 15201 3912 Tested As-Is 1
Alcatel ASM 180TD Leak Detector 1
Varian 936-40 Leak Detector 1
Ebara AAS300WN Dry Vacuum Pump AAS Series Needs Flow Switch Tested Working 1
ENI OEM50 RF Solid Stste Power Generator 1
ENI OEM-50N RF Solid Stste Power Generator 1
Met One 2083993-04 Particle Counter 3315-.5-1-SS Pacific Scientific Working 1
Tencor Surfscan 7000 Patterned Wafer Analyzer 1
Met One A2408-1-115-1 Particle Counter 1
AE Advanced Energy Pinnacle 6kW 1
CTI-Cryogenics 8052261 Cryo Compressor 8010 Controller New Surplus 4
Electroglas Horizon 4085X 8" Automated Prober System 1
K&S 982-6 Plus Precision Dicing System 1
ETO 80-S04 RF Generator Rack 1
ENI OEM-50N RF Plasma Generator 1
SVG Rite Track 8826-28-36 88 Series Photoresis Coater 1
SVG 8826-8836 88 Series Photoresist Coater 1
IPUP T100P Toyota 3620-00463 Vacuum Dry Pump AMAT Pump Overload Not Working 1
Tegal 803 Inline Automatic Plasma Etcher 1
K&S 982-6 Wafer Dicer 1
Headway Research PWM32-PS-R790 Photoresist Spinner 1
Solitec 5110 Single Head Wafer Processing Photoresist Spin Coater 1
CTI-Cryogenics 8135900G001 9600 Cryopump Compressor Tested Not Working 1
AMAT Applied Materials HDP Chamber 1
Leybold UL 500 Helium Leak Detector 1
Leybold UL 500 Helium Leak Detector 1
Leybold UL 500 Helium Leak Detector 1
Leybold UL 500 Helium Leak Detector 1
Leybold UL 500 Helium Leak Detector 1
Leybold UL 500 Helium Leak Detector 1
Leybold UL 500 Helium Leak Detector 1
Leybold UL 500 Helium Leak Detector 1
Leybold UL 500 Helium Leak Detector 1
CTI-Cryogenics 8112854 On-Board 8 Cryopump FastRegen 24591 Hours Tested As-Is 1
CTI-Cryogenics 8116014G002 On-Board 8 Cryopump 32767 Hours Tested Working 1
CTI-Cryogenics 8112855 On-Board 8 Cryopump FastRegen 32767 Hours Tested Working 1
Pfeiffer TMU 261 Turbomolcular Pump and Controller 1
Pfeiffer TMU 261 Turbomolcular Pump and Controller 1
Leybold NT20 Turbomolcular Pump Controller 1
UNIT Instruments DX-5 MFC Mass Flow Controller Digital Control System Working 1
Comdel CPS 1000/4 RF Generator 4.00 MHz with Match Pro 1
Turbo-V 70LP MacroTorr Varian 9699366 Turbomolecular Pump Turbo Tested Working 1
Turbo-V 70D MacroTorr Varian 969-9361S008 Turbomolecular Pump Turbo Tested 1
Turbo-V 300HT MacroTorr Varian 9699037 Turbomolecular Pump Turbo Tested Working 1
Varian 969-9385 Turbomolecular Pump - TEST 1
Edwards STP-A3003CV Turbomolecular Pump No Levitation ISO250F Turbo Tested As-Is 1
ATH 1603M Adixen 804533 Turbomolecular Pump Pfeiffer Turbo New Surplus 2
ATH 1603M Adixen 804533 Turbomolecular Pump Y25221B0 Pfeiffer Turbo New Surplus 1
MKS Instruments 146B-ACONM Vacuum Gauge Measurement & Control System Spare 1
Shimadzu FTI-2301D (T1)-D3R Turbomolecular Pump Controller Turbo 3Z80-000025-V1 1
ENI OEM-12 RF Generator 1
ENI OEM-12 RF Generator 1
STP-A3003CV Edwards PT43-96-040 Turbomolecular Pump No Levitation Tested As-Is 1
ENI LPG-1 RF Generator 1
ENI RFC-2000 Controller 1
ENI HF-300T RF Generator 1
ENI HF-300 RF Generator 1
Ulvac CRTM-9100G Crystal Oscillation Type Deposition Controller Working Spare 1
UNIT Instruments UCAL-1000 Mass Flow Transfer Calibrator Working Spare 1
AE Advanced Energy Cesar 2720 RF Power Supply 1
ENI RFC-4T Controller 1
TPS 601 Pfeiffer PM 041 821 AT Turbomolecular Pump Controller Turbo Tested 1
TMU 1001 P Pfeiffer PM P03 305 G Turbomolecular Pump Turbo - TEST 1
TMU 200M P Pfeiffer PM P03 405-A Turbomolecular Pump Turbo Tested Working 1
TCM1601 Pfeiffer PM C01 677 Turbomolecular Pump Controller Turbo Tested Working 1
TCM1601 Pfeiffer PM C01 677A Turbomolecular Pump Controller Turbo Tested Working 1
TURBOTRONIK NT 20 Leybold 855 62 Turbomolecular Pump Controller V1.2 Tested 1
TURBOTRONIK NT 20 Leybold 857 21 Turbomolecular Pump Control  V1.4 Bent Tested 1
TURBOTRONIK NT 20 Leybold 857 20 Turbomolecular Pump Control NT20 SW2.6 Scuffs 1
TCP600 Pfeiffer PM C01 320 C Turbomolcular Pump Controller Tested Working Spare 1
TMH 1600 P C Pfeiffer PM P02 469 Turbomolcular Pump Novellus 27-050054-00 Tested 1
TMH 1600 C Pfeiffer PM P02 469 Turbomolecular Pump Novellus 27-250054-00 Tested 1
TCP600 PfeifferTurbomolculer Controller - FOR TEST DEPARTMENT 1
Pfeiffer PM 041 4440-X Turbomolecular Pump Signal and AC Cable Set 3M TMH 1600 1
TURBOTRONIK NT 20 Leybold 857 21 Turbomolecular Pump Controller NT20 V1.4 Tested 1
TURBOTRONIK NT 20 Leybold 855 62 Turbomolecular Pump Controller Tested Working 1
TURBOVAC 151 C Leybold Vacuum 85635 Turbomolecular Pump Turbo Tested Working 1
TURBOVAC 361 Leybold 85670 Turbomolecular Pump Turbo Binding Bearing As-Is 1
XDS 35i Edwards A730-01-983 Dry Scroll Vacuum Pump 3978 Hours Tested Working 1
iH80 SYSTEM Edwards A533-81-945 Vacuum Pump System Codes 412 812 Tested As-Is 1
ASTeX AX3120 1.5kW Circulator Directional Couple Plasma-Therm SLR 770 Spare 1
ASTeX Applied Science & Technology TSM2 Waveguide Auto Tune Plasma-Therm SLR 770 1
ASTeX Applied Science & Technology LS Waveguide Assembly Plasma-Therm SLR 770 1
ASTeX SXRHA 10kV 2.45GHz Microwave Head Assembly Plasma-Therm SLR 770 Working 1
RFPP RF Power Products AM-5 Dual RF Match Network with Switch Box Plasma-Therm 1
TURBOTRONIK NT 150/360 Leybold 85472-3 Turbomolecular Pump Controller - TEST 1
VAT 641PM-16PL-1002 Adaptive Pressure Controller PM-5 87895-R1 Working Spare 1
VAT 640CV-99LJ Gate Valve Pressure Controller Cable 33' Series 64 Working Spare 1
MKS Instruments 290C-01 Ion Gauge Controller Type 290 Working Spare 2
MKS Instruments 290C-01 Ion Gauge Controller Type 290 Faulty Switch As-Is Spare 1
MKS Instruments 286 2 Channel T.C. Thermocouple Controller Working Spare 2
VAT F12-60366 Pneumatic Gate Valve 4" Plasma-Therm SLR 770 Working Spare 2
ASTeX Applied Science & Technology AX3045-3 Smart Tuner Plasma-Therm SLR 770 1
PlasmaQuest S-1000i Microwave Power Generator ASTeX AX2110 Plasma-Therm SLR 770 1
ASTeX 00473081 ECR Power Supply EMS 27-185-2-D-10T-0697A Plasma-Therm SLR Spare 1
ASTeX 00473103 ECR Power Supply EMS 20-125-2-D-10T-0697A Plasma-Therm SLR Spare 1
Micro Alliance 714 System Control Computer Plasma-Therm SLR 770/770MF Spare 1
RF5S RFPP RF Power Products RF-5S SE#037 RF Generator - TEST 1
VAT DN250CF HV High Vacuum Gate Valve ASS 81518-R1 Plasma-Therm SLR 770/770MF 1
ASTeX Applied Science & Technology ECRM Magnet Assembly Plasma-Therm SLR 770 1
MKS Instruments 1479A22CR1BM--S Mass Flow Controller MFC 50 SCCM CF4 Working 1
MKS Instruments 1160B-00100RV Mass Flow Controller MFC 100 SCCM N2 Working Spare 1
MKS Instruments 1160B Series Mass Flow Controller MFC 50 SCCM BCL3 Working Spare 1
MKS Instruments 1160B-00010RV-SPCAL Mass Flow Controller MFC 10 SCCM He Working 2
MKS Instruments 1160B-00050RV Mass Flow Controller MFC 50 SCCM N2 Working Spare 4
UNIT Instruments UFC-1100 Mass Flow Controller MFC AMAT 3030-01058 Working Spare 1
Tylan General FC-260 Mass Flow Controller MFC 50 SCCM N2 Working Spare 1
Tylan General FC-260 Mass Flow Controller MFC 100 SCCM N2 Working Spare 1
Tylan General FC-260KZ Mass Flow Controller MFC 100 SCCM N2 Working Spare 1
MKS Instruments 124AA-00010AB Baratron Pressure Transducer Working Spare 1
MKS Instruments 623A13TDE Baratron Pressure Transducer Working Spare 1
MKS Instruments 628A-13114 Baratron Pressure Transducer Working Spare 1
MKS Instruments 627A.1TCD Baratron Pressure Transducer Working Spare 1
MKS Instruments 623A13TCE Baratron Pressure Transducer Working Spare 1
MKS Instruments 623A13TCE Baratron Pressure Transducer No Cap Ring Working Spare 1
Plasma-Therm 200mm Wafer End Effector 8" SLR 770/770MF Plasma Etching Spare 1
Plasma-Therm 1000-IS Low Entropy Systems Camera Assembly SLR 770/770MF Working 1
MKS DN16CF Pneumatic Angle Vacuum Valve Plasma-Therm SLR 770/770MF HPS Lot of 2 1
MKS DN40CF Pneumatic Angle Vacuum Valve Plasma-Therm SLR 770/770MF HPS Lot of 2 1
MKS NW25 Pneumatic Angle Vacuum Valve Plasma-Therm SLR 770/770MF HPS Lot of 2 1
MKS Pneumatic Angle Vacuum Valve Lot of 3 NW25 NW40 Plasma-Therm SLR HPS Working 1
MKS Instruments 153D-4-100-1 Throttle Control Valve Type 153 Plasma-Therm Spare 1
Plasma-Therm 79578 Status Interlock PCB Module SLR 770/770MF Working Spare 1
Plasma-Therm 4475507501 Relay Board PCB SLR 770/770MF Working Spare 1
Leybold 85766-001-5M TURBOTRONIK to TURBOVAC Turbomolecular Pump Cable 5M Spare 1
Leybold 857 66 5M TURBOTRONIK to TURBOVAC Turbomolecular Pump Cable Unmarked 1
Leybold 85765-000-3M TURBOTRONIK to TURBOVAC Turbomolecular Pump Cable 3M Spare 1
Micron P90PCI Operator Interface Computer PC Plasma-Therm SLR 770/770MF Spare 1
JDS Uniphase 2202-5BLT .5W Air Cooled Argon Laser Head Working Spare 1
CTI-Cryogenics 8031300 8500 Helium Compressor Power Supply Assembly New Surplus 2
Tencor Instruments 136867 Laser Shuter MOD PCB Assembly Working Spare 1
Met One 2087142-03 Particle Counter 3313LL .3 1 CFM SS Pacific Scientific 1
ESI Electro Scientific Industries 77944A Scribeview Electronics Camera Module 1
ESI Electro Scientific Industries 66200 Illuminator Module 9250 Laser Tool Spare 1
Condor HDD15-5-A+ DC Power Supply ±15V Power-One Working Spare 1
Condor HDD24-7.2-A+ DC Power Supply 24V Power-One Working Spare 1
Edwards A52844480 Vacuum Pump im Interface Module LAM TCP Working Spare 2
Edwards A52844410 Vacuum Pump im Interface Module Applied Smart Pump Working 1
Nor-Cal Products 67211.00024.999 Pneumatic Angle Isolation Valve NW25 Working 1
Brooks Automation 118795 Power Supply PRI Automation 2002-0035 Working Spare 1
Edwards iXH1820 Vacuum Pump - TEST 1
Edwards iXH1820 Vacuum Pump - TEST 1
iGX600M Edwards A546-32-958 Dry Vacuum Pump Combination System New Surplus 1
ESI Vision Products TurboHR+ Camera Module INTELLEDEX 9250 Working Spare 1
ESI Electro Scientific Industries AC Power Control Unit 9250 Laser System Spare 1
ESI 61321 B.P. Electronics Power Supply 9250 Laser System Working Spare 1
ESI 61323 AUX. Electronics Power Supply 9250 Laser System Working Spare 1
CTI-Cryogenics 0190-19389 On-Board IS-8F Cryopump 40106 Hr Copper Tested Working 1
CTI-Cryogenics 0190-19389 On-Board IS-8F Cryopump 40135 Hr Copper Tested Working 1
ESI Electro Scientific Industries 76892 Power Distribution Unit 9250 Laser Spare 1
Kensington 4000C Robot Servo Positioning Controller ESI 9250 Laser Working Spare 1
Kensington Laboratories WFH4C TT/LR Tranfer Robot ESI 9250 Laser System Working 1
Kensington Laboratories Z-AXIS Robot Signal Cable 7.5 Foot ESI 9250 Working 1
Kensington Laboratories WAIST Robot Signal Cable 7.5 Foot ESI 9250 Working 1
Kensington Laboratories ARM Robot Signal Cable 7.5 Foot ESI 9250 Working 1
Genmark GENCOBOT 7/2L Wafer Transfer Robot GBR Philips PW 2830 XRF Working 1
ESI Laser Parts 1
Genmark Automation Remote Wafer Prealigner GENCOBOT Philips PW 2830 XRF Working 1
Silicon Control SV-FOC 020 Controller Brooks FALMO 300 OC Philips PW 2830 XRF 1
Secme CT.01109.PCX01 Keyboard Assembly Philips PW 2830 XRF Working Spare 1
Genmark Automation 910500012 Robot/Prealigner Cable 7.5 Foot Rev. 7 Working 2
Genmark Automation 910500012A Robot/Prealigner Cable 7.5 Foot Rev. A Working 1
Nijkerk Display Systems IP03.1069 14" Touchscreen Monitor Philips PW 2830 Spare 1
EDWARDS SCU-1600 1
EDWARDS SCU-1600 1
EDWARDS SCU-1600 1
Lam Plate, Cool GDP 10 Hole 810-02433R 4
Lam Plate Focus Ring Adapter Cera-R 810-02432R 13
Lam Cover Elctd Hsgesc 811-02493R working 17
Lam Focus Ring (outer) 413-054-00-2-0 New 5
Lam Liner Extendedheated Ceramic 810-02460R 3
LAM Research 710-7930-1 Rev C PCB 810-7930-1 490 590 Etcher Used Working 1
Lam Etcher 490  590 Motor PCB 474-1-C-677-385  055252 1
LAM Research 017-018-0390 Etcher 490 590 PCB 055258 1
Lam Etcher 490 590 PCB 055237 810-503-3 rev B 1
Tokyo Electron ES Ring Insulator A7HF0.5 1D05-300185-W1 new 4
Millipore Planargard Point-of-Use Filters CM1301E06 Lot of 6 new 1
Millipore Planargard Point-of-Use Filters CM1301E06 Lot of 6 new 1
LAM Research Etcher Chem Sply Valve Block 766-020032-001 new 3
LAM 6 Station Pneumatic Manifold 766-004254-003 new 1
Edwards D37215000 Vacuum Flash Module Used Working 5
PDX 500 AE Advanced Energy 3156024-105C RF Generator Used Working 2
Komatsu KIS-0007-3 Heat Exchanger Used Tested Not Working As-Is 1
Accurate Gas Control Systems 3019 Gas Control Heavy Black Tank Jacket Used 1
Carten Controls DPV 750/500 Duplex Valve Lot of 4 New 1
LAM Mesh Switch 0577-1-L-807-0787 working 1
Bold Technologies Pneumatic Polypro Pump 1046 1
WaFab ChemKleen Controller MPC-203 19
Integrated Circuit Development Corp. 6500 SCP Wet Sink Control 4
LAM 810-17041 PCB Brushless Motor Driver-Speed Control Board 2
Hine Design 04290-201 Process Chamber Elevator Gasonics 94-1118 1
VAT F12-95575 16mm Gate Valve 12124-KA44-0001 new 1
LAM Research 810-017016-001 PCB Stepper Motor Driver Board Assembly New 7
Lam Research 28-8875 Communication Board PCB ASM 28-8875-027 22-8875-003 New 1
Lynn Electrode Showerhead L147-G263SFG New 1
Lam Research Upper Baffle Plate 715-011902-001 new 1
Lam Research 716-140427-001 STD 6 ESC NCH Hot Edge Ring 15DEG New Surplus 1
LAM Focus Ring 8" ESC 716-330190-081 New 1
Ace Company CS33-333 Showerhead 233-2089-77 New Surplus 1
Lam Research 716-330190-081 Focus Ring 8" ESC Used Working 1
Lynn Electrode Showerhead L147-587513NC New 1
Lam Research Blank Cover 713-21816-1 Rev.B 1
AMAT Applied Materials 0220-03190 RF Monitor working 2
AMAT Applied Materials 0010-10010 Manual Control Gate Valve Precision 5000 1
Applied Materials AMAT 650247 Lid Flange 0010-15222 1
AMAT Applied Materials AC Window Assembly 0240-00598 3
AMAT Applied Materials Anti-Cloud Assembly 0090-00044 6
AMAT Applied Materials A.C. Window Control 0090-00045 12
Tylan General HPC-20 CDG Adapter Unit 2
Leybold 200 80 513 DRYVAC System Remote Controller M100S Used Working 2
Edwards D37215000 Vacuum Flash Module Used Working 31
PRI Automation GATEWAY-1000-CE-S2 300mm Load Port Gateway 1000 Used Working 1
Robitech 990-9131 Valve Module PCB 681-0181-009 980-2300 Series Used Working 23
Robitech 990-9131 Valve Module PCB 681-0181-009 980-2300 Series Used Working 5
AceCo Aluminum Cathode Upper Electrode CS33-054A Lam  new 2
SVG ASML 90S End Station CPU Board 99-80333-01 Rev. 2 Working 3
Parker Laser Stage Positioning System Model 4082 1
Cybor Pneumatic Interface Control Module ADS660-00 working 1
Ebara 350W Turbo-Molecular Pump 20M Controller working 2
Ebara Turbopump Controller 305W-A untested 1
Varian E15000040 Serial Loop Master PCB Card E14000040 Used Working 1
Varian TI PCB 80xp Ion Implanter Board TM990/310 1
TEL Tokyo Electron Focus Ring 3D10-201599-V1 25
SVG ASML 90S Interlock Board 99-80302-01 Rev. F Working 1
Delta Design Power Supply Control Board 1662998-501 Rev. F Working Lot (2) 1
Accel Implanter Control Panel AXDRC/21D working 1
SVG Silicon Valley Group 99-80315-01 Exhaust Flow Controller Board PCB 90S Used 4
TEL Tokyo Electron CLP Ring 200mm D127254 3
Newport 15-3701-1425-25 Wafer Transfer Robot AMAT 0190-19124 Refurbished 1
Sanyo Denki PV1A030SGDNP50 Servo Amplifier BL Super PV New Surplus 15
Sanyo Denki PV1A030SGDNP50 Servo Amplifier BL Super PV New Surplus 22
Panasonic MQDB015A1D01 AC Servo Motor Drive Used Working 3
BTU Engineering Video Interface Board 3161524 EPROM V2.3 Working 1
Leybold Coolvac Power Supply 844235 0190-03798 3
TESCOM Regulator 44-3261JRU2-376 50PSIG OUTPUT MAX 2
Verity Instruments 1005530AT Laser Optical Module APD220 AMAT 0190-10026 Used 2
AMAT Applied Materials 9090-00491 Network Module Used Working 1
Sanyo Denki PV1A015SFYNP50 Servo Amplifier BL Super PV Used Working 8
Sanyo Denki PV1A015SM51P50 Servo Amplifier BL Super PV Used Working 2
Sanyo Denki PV1A015SMT1P50 Servo Drive AMAT 1080-00053 Used Working 4
Sanyo Denki PV1A015SMT1P50 Servo Drive AMAT 1080-00053 Used Working 1
Sanyo Denki PV1A015SM61P50 Servo Amplifier BL Super PV AMAT 0870-01072 Used 4
Samsung Digital Servo Drive CSDJ-A5CK1  50W 1
Yaskawa SGDA-01APPY126 Servo Drive SERVOPACK TEL 2980-193384-11 ACT12 Used 1
Sanyo Denki BL Super PV Servo Drive PV1A015SM61P50DB1 1
Sanyo Denki PV1A030SGDNP50 BL Super Servo Drive Used Working 3
Sanyo Denki PV2B050SXX1AZ0 Servo Amplifier BL Super PV Used Working 1
MDC AV-150M-P Angle Valve 313029 New 1
VAT 0210X-CA24-BJC1 Monovat Rectangular Dual Slit AMAT 3870-04810 Refurbished 2
Newport Kensington 15-3701-1425-25 Robot AMAT 0190-19124CW 1
Newport Kensington 15-3702-1425-25 Wafer Transfer Robot AMAT 0190-22248 CU Used 2
STEC SEC-4600M Mass Flow Controller AMAT 3030-09676 100 SLM N2 Refurbished 2
LAM Research Leveling Electrode 515-011835-001 new 1
TEL Tokyo Electron Clean Track Unit Controller UC-510 working 1
Kokusai 3160711 PCB Serial Interface Board Used Working 1
Kokusai 3160711 PCB Serial Interface Board Used Working 1
Varian Filler Ring Assembly 108384004R-2 2
BTU Engineering Video Interface Board 3161524 EPROM V1.1 Working 1
Newport 15-3702-1425-25 Wafer Transfer Robot AMAT 0190-22248 Used Working 1
Sanyo Denki PV1A015SM61P50 Servo Amplifier  BL Super PV AMAT 0870-01072 Used 2
Shimadzu EI-3203MD Turbo Molecular Pump Controller TMP Power Unit As-Is 1
GaSonics Valve Control PCB Assembly 90-1002-02 1
GaSonics Abort Reset Over Temp PCB Card 90-1033-02 1
GaSonics MFC/MFM Interface Board PCB 90-1036-01 1
SVG Spin Station Expansion Card PCB 99-80207-02 9
Alcatel Control Board PCB P0176-D working 7
Edwards A52850000 QDP Series 3 Exhaust Pressure Module Used Working 1
Shimadzu EI-281 TMP Power Source Used Working 1
Cymer Interface Board 05-05182-00 Working 4
BTU Engineering Video Interface Board 3181180 EPROM V2.1 Working 1
Cymer ADC Interface Board 05-05184-00 Rev. 011 Working 1
Cymer ADC Interface Board 05-05184-00 Rev. 001 Working 2
BTU Engineering System I/O Board 3161161 Rev. 7/14 Working 1
ASML ATWI SS2 MKII Carrier Conn PCA 4022.471.84321 new 1
IPUP E100L Edwards A535-72-945 Dry Vacuum Pump 8241 Hours AMAT Tested As-Is 1
SAM Fantas SFC1483F MFC Mass Flow Controller N2, 60SLM 1
STEC Mass Flow Controllr SEC-Z12DWM Ar 20SLM 0190-16804 1
SAM Fantas MFC Mass Flow Controller SFC1480F H2 3SLM 1
SAM SFC480F3PD Mass Flow Controller AMAT 3030-00607 Used 1
Agilent ASML I/O Card PCB Z4206A working 2
Nor-Cal Products 3870-00165 Intellisys Pressure Controller AMAT Used Working 2
AMAT Applied Materials 0190-35113 Halogen Lamp Bulb 2000W Reseller Lot of 10 New 2
Pacific Scientific Servo Controller SC755A040-08 SVG 90 1
Sanyo Denki PV1A030SGDNP50 Servo Amplifier BL Super PV Used Working 17
Integrated Dynamics Engineering TC-V3 Isolation System working 1
LF-5 RFPP RF Power Products 7520572050 RF Generator LF-5SWC Used Tested Working 3
LF-5 RFPP RF Power Products 7520572050 RF Generator LF-5SWC Used Tested Working 2
Omron Power Controller G3PX-220EUN working 1
Brooks Automation 200mm Prealigner Chamber 10600-10 1
Agilent ASML WIPA PPCA PCB Board 4022.471.78842 new 1
TEL Tokyo Electron Short Comp. Pod Door Shield D114056 5
Millipore Wafergard F Inline Gas Filter lot C4NM6905 1
Sanyo Denki BL Super PV Servo Drive PV2A015WNF1A00 1
Millipore FC-2952MEP5-T Mass Flow Controller 10 SLPM He New 1
Orion Machinery ETS214-ATAA-A Pel Thermo Chiller Used Working 5
TEL Tokyo Electron Long Door Shield (11" Cath.) D115635 11
TEL Tokyo Electron S-2 Old Pod Shield D117579 new 8
MRC Materials Research 200mm Pod Shield D126409-200SP new 1
Horiba STEC SEC-7330M Mass Flow Controller 1 SLM O2 Refurbished 1
GaSonics Data Tech. 16-bit ISA IDE Control Card DTC2280 5
Parker P1M063C/KMC6N046 Pneumatic Cylinder Series Double Acting New 6
GaSonics DTC2280 M/N 95-0289 Data Technology Corp 16-bit ISA IDE Control Card 2
AceCo 150mm Aluminum Cathode CS33-605 1
TEL Tokyo Electron Sputter Shield D122081 new 3
Vacuum Cntr. Manifold 1
Vacuum Cntr. Manifold 1
Vacuum Cntr. Manifold 1
Seiko Seiki Turbopump Controller STP-H1301L1 working 1
Shimadzu EI-3203MD-A1 TMP Turbopump Power Unit  AMAT 3620-01616 New 1
KLA-Tencor CIP XP Column Extension Assy. 0110157-000 1
RF Services Inc. RF Match RFS 5005 5kW working 1
Novellus Digital Dynamics SIOC Controller 02-258471-00 1
Pentagon Technology 17-165771-02A PVD Shield new Novellus 1
Glentek Amplifier Controller SMA8310-1 KLA 003964-000 working 2
Arrow Electronics Power Supply Switcher VAD610669-A 2
Novellus Shield Assembly 16-117928-00 Rev.D new 1
KLA-Tencor Lid Lift Leg Assy. 781-11759-000 working 2
Tosoh A-S Wafer Shield 06225-000/003412 new 1
LSA Cleanpart Wafer Shield 16-140875-00 new 2
Ultrapointe 000134 Page Scanner Control PCB Rev. A KLA-Tencor CRS-1010 Used 4
Lintec Liquid Flow Meter LM-1100M-8 TEOS 1.5g/min New Surplus 1
ATMI NOWPak SM4BKAFD-050812 Photo Resist Dispenser new surplus 7
AMAT 25 lb Weight Kit 0240-16987 300mm rebuilt 6
AMAT 300mm Retaining Ring Grooved PL PPS 0021-17340 new 1
AMAT Titan SP Head 300mm Fixture Kit 0240-13190 new 2
AMAT Titan Head Membrane Support 0040-41846 new 4
AMAT 300mm Grooved Retaining Ring 0040-84056 new 4
AMAT 300mm Retaining Ring, Grooved 0040-84056 new 10
LSA Anodic Technologies Bracket Assy. 17-122526-00 new 1
LSA Cleanpart ESC Lift Pin Assy. 16-116351-00 new 1
STEC Liquid Mass Flow Meter TiCl4 LF-210A-EVD  NEW 1
KLA-Tencor Upper Housing Assy. 781-21332-000 working 1
KLA-Tencor Housekeeping Control 0075164-002 working 1
TEL Tokyo Electron 3M10-311737-12 300mm Shower Spacer New 2
TEL Tokyo Electron Barrel Shield WZ10-102853-11 new 1
Scinics MC-301 Stirrer Controller MultiStirrer AMAT 1050-01008 New Surplus 8
KLA-Tencor CRS1010 Solenoid PCB Assy. 000678 working 2
Vicor MP6-76542 Power Supply AMAT Applied Materials 1140-01379 Used Working 1
Vicor MP4-74523 DC Power Supply MegaPAC AMAT 1140-74523 Tested Working 3
Vicor MegaPAC Power Supply MP2-74510 working 1140-01385 2
Ultrapointe 000675T Lon Motor Driver Board PCB 00045 KLA-Tencor CRS-1010S Used 1
AE Advanced Energy 3150012-009 RF Generator RFPP LF-5 AMAT 0920-01014 Used 1
AE Advanced Energy 3150012-009 RF Generator RFPP LF-5 AMAT 0920-01014 Used 1
AE Advanced Energy 3150012-009 RF Generator RFPP LF-5 AMAT 0920-01014 Used 1
Kollmorgen 1000-0115-00 4-Channel Magnet Driver AMAT 0190-36315 New 3
TMP Shimadzu EI-3203MD-A1 Turbomolecular Pump Power Unit AMAT 3620-01616 New 1
TMP Shimadzu EI-3203MD-A1 Turbomolecular Pump Power Unit AMAT 3620-01616 New 5
SVG Perkin-Elmer A/D Position Regulator Conversion Board 851-8518-004F Working 1
KLA-Tencor CRS1010S DC Power Supply working 1
Inficon 0190-19969 Heated Left Turbo Elbow AMAT Applied Materials New 5
Inficon 0190-19967 Heated Vert Cross Weldment AMAT Applied Materials New 7
Inficon 0190-19968 Heated Right Turbo Elbow AMAT Applied Materials New 8
Cutler-Hammer AF91AG0 B002D Adjustable Frequency Drive G1002BG0DA Used Working 1
Ultrapointe 000674T5 Filter Wheel Driver Board PCB KLA-Tencor CRS-1010S Used 2
Ultrapointe 801-1002-01 A-Stop Control Lon Motor Driver PCB 55050-00 Used Working 2
Ultrapointe 000276 Spectrometer PMT Preamp Assembly KLA-Tencor CRS1010 Used 6
KLA-Tencor CRS1010 Fast Z Controller 001000 PCB working 1
M.E.C. Tech MEC81104-200L Cathode Covers AMAT 0030-0102 Reseller Lot of 101 New 1
TEL Tokyo Electron Teflon Coil Cup WZ10-101255-11 New 1
M.E.C. Tech Insulator Ring 26-83622-00 new AMAT Lot of 78 1
Sanyo Denki PMDPA1C3P20 PM Driver Type C AMAT 1080-01276 Used Working 1
KLA-Tencor 001003 Fast Z Controller PCB Rev. A CRS1010 Used Working 4
GSI Lumonics 003-3002009 Control PCB KLA-Tencor CRS1010 Used Working 4
Daihen DCP-208-24 DC Power Supply working 2
Newport 15-3701-1425-25 Wafer Transfer Robot AMAT 0190-19124 Copper Exposed Used 1
GSI General Scanning Inc. Lumonics 311-15593-1 Control PCB KLA-Tencor Used 5
Cutler-Hammer Circuit Breaker lot GD 22K working 1
InUSA AFX RB5L Multi-Channel Ozone Controller Used Working 1
VAT 0750X-UE24-ACK1 Rectangular Door Valve L-VAT Series 075 AMAT 3870-00403 New 1
InUSA RB3L External Multi-Channel Control System RB5L Used 1
KLA-Tencor CRS1010S Review Station DC Power Supply 4
HiTek Power Series 2500 High Voltage Power Supply 2.5kV Refurbished 1
SVG Silicon Valley Group 99-80266-01 Station CPU PCB Card Rev. F 90S DUV Used 10
STEC SEC-4600M Mass Flow Controller 50 SLM H2 Refurbished 6
Entegris 6500-XX-F02-B30-K-P1-U1-M15 NT Integrated Flow Controller New Surplus 1
QML/MSXLOD 200mm Chuck 879-0362-002D 1
MKS TOOLweb Sensor Integration Platform SIP BlueBox new 1
KLA-Tencor CRS1010 Lens Filter Assembly 002001 working 1
GPI General Precision Remote Panel RCD-1-4 I working 2
KLA-Tencor CRS1010 Camera Filter Assembly 000056 working 7
Power-One Cosel SVG 90 DC Power Supply lot working 1
Yaskawa Linear Motor Controller CLSR-A504-N2SB Nikon 1
JAE 6 Axis Vibration Measurement Unit JNP-003 Nikon NSR 1
Ametek Pressure Transducer 100SV3000SM41B3 3000PSIG New 13
Tescom 64-3242KRL20 Manual Regulator 100PSIG Max Output New 4
Tescom Regulator 23-3B25AAHH-016 100PSIG Max Output New 112
Pentagon Technologies TEL Tokyo Electron Faraday Shield WZ10-101351-21 X6 New 1
Pentagon Technologies Faraday Shield WZ10-101268-11 X1 New TEL Tokyo Electron 1
Pentagon Technologies TEL Tokyo Electron Baffle Deposition WZ10-102201-11 New 2
TEL Tokyo Electron Faraday Shield WZ10-102693-11 X1 1
TEL Tokyo Electron Faraday Shield WZ10-102397-11 X1 1
AMAT Lower Preclean Shield 0040-61580 300mm New 1
KLA-Tencor O/S Actuator Elevator 720-01488-003 Untested 2
KLA-Tencor Newport AIT2 Air Slit Assembly 393215 New 2
GSI Lumonics CCA-10069 X-Y Scanner PCB working pair 1
GSI Lumonics E11-13209-7 X-Y Scanner PCB Rev. D KLA-Tencor CRS-3000 Used Working 7
GSI Lumonics E11-13209-7 X-Y Scanner PCB Rev. D KLA-Tencor CRS-3000 Used Working 1
KLA-Tencor Nikon Box Door Opener 750-059211-001 New 1
KLA-Tencor Aperture Heated Rod 781-23234-002 Used 4
KLA-Tencor Aperture Heated Rod 781-23234-001 Used 2
KLA-Tencor Cannon Box Magazine Assembly 740-060658-001 2
KLA-Tencor 0124592-000 AIT UV Laser Servo Detector with Cable 0051839-000 New 3
SVG Perkin-Elmer A/D Position Regulator Conversion Board 851-8518-004K Working 1
SVG ASML Robitech Interface Board 859-0832-006B Working 1
KLA-Tencor AIT UV Laser Servo Detector 0099586-001 Used 2
KLA-Tencor 760-333022-000 SLX Reflected Light Assembly Used 1
KLA-Tencor 0041292-000 AIT/UV Laser Optics Assembly 0041281-000 Used Working 1
KLA-Tencor Spring Clamp Laser Servo Detect. 0124592-000 1
KLA-Tencor Mark II Right Top Plate 740-680567-000 New 1
KLA-Tencor 0099602-001 Retrofit Optics Beamsplitter  New 1
KLA-Tencor 10KV Floodgun Assembly 720-23189-000 1
SVG Silicon Valley Group 854-8307-001 PCB Board 859-5485-002 ASML Used Working 1
KLA-Tencor Sharp 15" LCD Monitor 0095643-000 Used 1
KLA-Tencor Glentek Electronics Analyzer 0045454-000 2
SVG ASML 859-0066-004-A PCB Board Used Working 1
KLA-Tencor Nikon Box Door Opener 750-059211-001 Used 1
SVG 90S Twin Shaft and Carriage 201-200 New 1
SVG ASML 859-8030-003 AFA PREAMP / ADC 16 Bit PCB Card Used Working 1
Lambda 703-1047-01 Power Supply Used Working 3
AE Advanced Energy 3150012-009 RF Generator RFPP LF-5 AMAT 0920-01014 Used 4
LAM Research 678-801542-001 Heat Tape New 1
HyCal Sensing CT-839-P-(50/80F)-(0/100%) Dual Temp/Humidity Transmitter Lot of 3 1
Tescom High Purity Valve 33-1C52IGS2C-009 Lot of 31 new 1
LAM 6 Station Pneumatic Manifold 766-004254-003 new 1
LAM Immersion Heater 678-801541-002 new 3
LAM Immersion Heater 678-801541-003 new 1
LAM A001-0330-0050 Immersion Heater 678-099744-004 New 1
ASM 858-8163-002A PCB Board working 1
LAM Research Immersion Heater 678-099744-005 new 1
LAM Immersion Heater 678-099744-006 new 4
LAM Transformer 638-099530-001 new 1
Machine Technology MINI I/O II 2217605061 PCB Board working 3
Perkin-Elmer 851-8877-002-F PCB Board A1302 SVG ASML Used Working 1
TLA Technology Servo Interface PCB Rev. A Used Working 1
Robitech 859-0832-006C Robot Interface PCB Board A5161 working 1
Branson IPC PWA Interface Board 12954-04 Rev. C Working 1
Lam Research 810-17031R4 ADIO-A0 Control Board PCB Rev. 1 TCP 9100 Used Working 1
LAM Research LAM 9100 ADIO-A0 Control Board 310-170314 Rev. A Working 1
Therma-Wave 18-010946 Interface Module Used Working 2
KLA-Tencor DC Power Supply 0057847-000 working 1
GPI General Precision SVG Remote Panel RCD 3-4-1 1
Cyber Research SVG Remote Computer STC 12-T working 1
KLA-Tencor LV Detector Assy. 720-11440-000 untested 1
Therma-Wave 14-119381 PWR_DGTZR PCB Opti-Probe Used Working 1
Therma-Wave SVG MUX PCB 14-119395 working 1
KLA-Tencor 0077427-002 End Effector Used Working 1
KLA-Tencor 0077427-002 End Effector Used Working 1
SVG 90S End Station CPU Interface Unit 1
SVG 90S Pneumatic PCB BES-4235 1
SVG 90S Interface Control PCB 99-80396-01 Rev B 2
SVG 90S Interface Control PCB 99-80396-01 Rev B 6
SVG 90S Sensor Multiplexor PCB 99-80270-01 Rev B 4
SVG 90S Nikon Interface PCB 99-80336-06 Rev O 1
SVG 90S RS422 Communications PCB 99-80203-01 Rev J Used 1
SVG Silicon Valley Group 80166F2-01 Station CPU PCB Board Used Working 8
SVG Station CPU Board 80266BE-01 4
SVG Station CPU Board 80266B3-01 3
TEL Tokyo Electron Shield WZ10-102823-11 X4 1
Therma-Wave Opti-Probe Tatung 10" Monitor TCM-1001 1
SVG PCB 06-49879-01B RA2011-11 11
TEL Tokyo Electron Shield WZ10-102837-11 XA 1
Pentagon Technologies WZ10-103558-11 X1 Large Upper Shield Sealed 1
Electroglas Horizon 4085X Visual Inspection Module 1
Electroglas Horizon 4085X Interface PCB Assembly 1
Electroglas Horizon 4085X RMHM4 Controller 1
Thermalogic PCB 718-525 Working 2
SVG 90S Station CPU PCB 80266B2-01 Working 1
SVG Silicon Valley Group 99-80170-01 Vacuum Sensor PCB Rev. E Used Working 2
Millipore Wafergard PF-40 Filter WGFV40P01 New 1
SVG 90S Manifold With Damper 99-54060-01 New 4
SVG 90S Fixture Parts Lot A W/ 24-45451-01 And More 1
SVG 90S 200mm Set Up Fixture/Jig  STGM10 23-42973-01 1
SVG 90S 200mm Set Up Fixture/Jig PRES20 99-38120-02 1
SVG 90S 200mm Set Up Fixture/Jig LJE-N-10 23-43218-01-A 1
Pentagon Technologies D119224 PVD Dark Space Shield TEL MRC New 4
Pentagon Technologies Eclipse star MRC PVD Pie Pan Shield D124821-200A 2
Pentagon Technologies Eclipse Star MRC PVD BSM Ring Tab D129805 New 1
Pentagon Technologies D129805 BSM Wafer Holder Housing MRC Copper Cu Refurbished 5
Pentagon Technologies MRC Eclipse  PVD Filler Ring D116049 F V New 1
SVG 90S 200mm Plastic Calibration Wafer 4
SVG 90S I/O Expansion/LCD Interface PCB 99-80293-01 1
Edco M356600122  CPU Card PCB New 1
Gespac GSPIA-4 PCB 9602 New 4
Lam Research 810-17031-3 ADIO-A0 Control Board Rev. 2 Rainbow 4420 Used Working 3
SVG ASML VB/VP Sensor Board 80241B-1-01 Working Lot (3) 1
Electroglas X Theta Driver Assembly 247228-001 Rev. N Working 1
Lam Research 810-017031R004 ADIO A0 Processor Board PCB 810-17031-2 Used Working 1
Kokusai SCOM2A A/2 D1E01223B PCB Board working 1
Lam Research 810-17031-004 ADIO-A0 Control Board Rev. 1 TCP 9100 Used Working 2
SVG Perkin-Elmer A/D Position Regulator Conversion Board 851-8518-004E Working 1
SVG ASML Interface Board 859-0866-003B Working 1
SVG ASML Interface Board 859-0866-003C Working 1
SVG ASML Perkin-Elmer Interface Board 859-0866-002A Working 1
SVG Perkin-Elmer A/D Position Regulator Conversion Board 851-8518-004 Working 1
SVG ASML Perkin-Elmer Interface Board 851-8618-003 Working 1
SVG ASML A/D Position Regulator Conversion Board 851-8518-005C Working 1
SVG ASML Perkin-Elmer Interface Board 851-8618-004B Working 1
Delta Design Sensor Board 1667-195-501 Rev. J Working 1
Schlumberger Servo Interface PCB Rev. A Working 6
Texas Instruments TM900/203A- Interface Board 115678002 Rev. B Working 1
Schlumberger 3064519-000 PCB Board working 1
Pittman Amplifier Board 276-009-001 C S Rev. E Lot (4) 304-014-122 Working 1
Therma-Wave Frame Breakout Board 14-018238 Rev. C Opti-Probe Working 1
Sony UP-5500 Mavigraph Color Video Printer for KLA used working 1
Tri-Mag TPYA45-4SF DC Three Phase WYE Filter at 47/63Hz working 2
SVG Commutator PCB 859-8368-003 working 2
SVG Power Amp PCB 859-8369-008 working 1
SVG Interface PCB Pair 879-8010-002 working 1
MECS UTV-2500H-SYSTEM Wafer Transport Robot System Controller CS-7000 Used 1
Oerlikon P1264 300mm Base Ring 102211796 new 1
Tosoh Upper Shield 805-375-SG-F-280 new 2
Carpenter Ceramics ALPS 894 Clamp Ring IN0440-1003 new 1
Carpenter Advanced Ceramics IN0440-1001 Clamp Ring 894 0440-1001 New 1
AMAT Centura 300mm Deposition Ring 0021-19471 new 2
AMAT Endura 300mm Lower Shield 0021-16287 refurbished 1
Applied Ceramics Eclipse Cylinder 102054681 new 2
Balzers MIU 101 Microwave Ignition Unit working 1
ASML Exciter Lamp 859-0515-006-A Used Working 2
AMAT Endura 300mm Disk Shutter 0021-19207 new 1
Varian E1000 Inner Bushing Shield E17124530 new 1
Tosoh AMAT Centura Upper Shield 805-377-TA-F-104 new 2
AMAT Applied Materials Centura Inner Shield 300mm 0020-52600 new 1
Applied Ceramics Eclipse Insulator Ring 300075811 new 1
Tosoh AMAT Endura Upper Shield 805-377-TA-F-157 new 1
Tosoh AMAT Endura Upper Shield 805-377-TA-F-157 new 4
Tosoh AMAT Endura Upper Shield 805-377-TA-F-157 new 3
Nikon S204 Ceramic Pin Chuck 12in 300mm NSR-S204B Step-and-Repeat System Used 1
AMAT Centura Cover Ring 300mm Edwards 0021-18485 refurbished 2
AMAT Centura Clamp Ring 0020-52627 refurbished 1
AMAT Centura Shield Clamp Edwards 0021-15610 refurbished 2
Edwards Kachina 0020-13067 300mm Lower Shield refurbished AMAT Centura 2
Jenoptik 4022.454.9932.2 ASML BP Mirror 193nm new 7
Jenoptik 4022.456.0076.2 BXP Lens ASML Cymer New 1
Nikon Scanner Prism Unit 4L990-797AN working 1
Jenoptik 4022.456.0075.2 ASML Twinscan AT:1100 Lens 193nm SP1/1443/44 New 1
Optem International HRTS33N3 Metro 300mm Video Coupler New 1
Oerlikon Unaxis Insulating Ring 102078649 new 4
Nikon S306 Depolarizer 4L991-783AN new 1
Torque Systems BMR2005TCG00CEA002 PM Servo Motor Gerwah DKN 20 Used Working 1
ASQ Technology AT2S8-25 200mm Wafer Transfer Machine Victor Used Tested Working 1
MECS Corp. Wafer Prealigner AL100 untested 1
Nikon S306 BMU Mirror 4L992-195AN new 2
Specken Drumag Oerlikon P1264 Stroke Cylinder 102078752 new 1
Oerlikon 102104213 Unaxis 300mm End Effector Used Working 1
Oerlikon Mask CPL 300mm 102153723 new 12
Oerlikon Etch Shield 102161354 new 2
Edwards Shutter Disk 633-5583-66 AMAT Centura 0021-17725 3
Nikon Metro 300mm MCR-DRV PCB 2S003-056 working 1
AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm New 1
AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm New 2
MECS Corp. UTX-5000 Robot Controller CS-7000 Used 1
MECS Corp. Prealigner AL100 untested 1
V-Tex 06-014 Rollcam Slit Valve 200803-25-0013-02 New Surplus 4
Fuji Electric EL25-30-V12-UL Earth Leakage Protection Relay EL25P0 New Surplus 4
Genmark 400800032 Classic Transfer Robot working 1
LF-5 RFPP RF Power Products 7520572050 RF Generator Tested Not Working As-Is 1
Georg Fischer Diaphragm Valve 198.150.966 new 1
Nikon Optistation Cass. PCB 2S700-583-1 working 3
Nikon Optistation Cass. PCB 2S700-583-1 working 4
ASML DSX Stepper A1 Prime 8540138001 working 2
Varian Implanter Bearing Assy. X4064200 rebuilt 1
Nikon Scanner RPF 9.5% Concave 4G680-334BN new 1
AMAT 200mm Cover Ring AL 0020-24719 new 9
Tosoh Endura Upper Shield 805-375-SG-F-312 new 1
Tosoh AMAT Endura Upper Shield 805-375-SG-F-310 new 1
Tosoh AMAT Endura Upper Shield 805-375-SG-F-310 new 1
Tosoh AMAT Endura Upper Shield 805-375-SG-F-310 new 3
Tosoh AMAT Endura Upper Shield 805-375-SG-F-310 new 2
Tosoh AMAT Endura Lower Shield 805-435-SG-F-258 new 6
Tosoh AMAT Endura Lower Shield 805-435-SG-F-258 new 4
Tosoh AMAT Endura Shutter Disk 805-438-TA new 1
MRC 885-23-000 Digital I/O PCB Eclipse Star Used Working 1
Tosoh AMAT Endura Inner Shield 805-381-SA-F-301 New 4
Tosoh AMAT Endura Inner Shield 805-381-SA-F-301 New 2
Tosoh AMAT Endura Inner Shield 805-381-SA-F-301 New 1
Tosoh AMAT Endura Inner Shield 805-381-SA-F-301 New 1
Tosoh AMAT Endura Inner Shield 805-381-SA-F-301 New 6
TEL Eclipse Star Shield Kit 42755-0500 new 1
TEL Tokyo Electron Eclipse Filler Ring D116049 new 9
AMAT Endura Upper Shield 0021-17722 new 1
Nikon Motor CCNT PCB PPAT6403A new 1
ASML Stepper Library Brake 851-0618-003 working 1
PULNiX TM-710i High Resolution Monochrome CCD Camera Used Working 1
ASML A1306 Stage Decoupler PCB 859-0929-006 working 2
Kensington Laboratories 25-4021-0015-01 Robot Controller AMAT 0190-06994 Used 1
ASML SVG Catchup Coater 200mm 38522-01 new 1
SVG Silicon Valley Group 38465-02 Spin Chuck Dev 90SER 150mm ASML SVG 90 New 3
Metron D131294-02 200mm Ring Seal MRC Eclipse New 3
Nikon Scanner RPF 6.5% 4G680-389AN new 1
ASML Stepper UVHR 3 In. Mirror 8541806001 3
SVG ASML 8519609003 SVG Long Stroke Arm Used Working 1
Aero2 Actuator A2S-50-10-V433R SVF working 1
Tosoh AMAT Endura Upper Shield 805-377-SA-H3 new 1
Therma-Wave Interface Board 14-015810 Opti-Probe Working 2
Therma-Wave 14-018274 INTFC OPT PL TALL PCB Opti-Probe Used Working 1
MKS Instruments 122AA-00100AB Baratron Transducer Tested Working 9
MKS Instruments 124AA-00100BB Baratron Pressure Transducer Type 124 Used 2
DISCO D3 PMCB EAUA UA-266105 Interface PCB Board working 7
Nikon Optistation MCR Control PCB 2S014-038 4
ASML Stepper MS2 + ECU System Board 859-8272-001 1
ASML Stepper A1211-AFA Module PCB 854-8301-007 1
ASML Stepper A1208 Digital Focus PCB 859-0743-018 1
Nikon Optistation OST-3\3A LED-AF Microscope Head 81027 2
Nikon Metro 300mm INX/300 Dual Board Assy KAB11310/201K 1
ASML 200mm Stepper DSC 7911 PCB 6810116041 1
Omron E5AX-LA02 Digital Controller E5AX Used Working 3
Omron E5AX-LA02 Digital Controller E5AX Used Working 8
ASML A5401A/B Preamp PCB Assembly 859030003 1
SVG 90S Track Station Controller PCB Assy 99-80266-01 14
Nikon P860 Scanner Kalrez AS-568A O-Ring 4G680-586AN 1
SVG 90S Track LES E-Chain 99-45762-15 For SVG 860 1
MSR 99-66564-02 Exhaust Flow Controller SVG 90S Refurbished 2
Nikon Metro MCR-ISA PCB KAB11000/3301 1
Nikon Hama Wafer Mapping Sensor KAB11310/201K New 1
Nikon RFP 2% Concave 4G680-526AN New 1
AMAT Applied Materials 0225-05707"XB" 6" Focus Rings ACP Lot of 25 1
Nikon RFP 1W FORM 4G680-674AN New 1
Nikon Optistation TCCNT PCB 2S014-035-5 Working 7
Nikon Optistation TCCNT PCB 2S014-035-5 Working 7
Oerlikon USA CPL Sensor 10284242 for Unaxis 300mm New 3
ASML 8518518005 A/D TRANS PCB Used Working 4
Nikon Optistation MST Board S2015-064-4 Working 4
Nikon Optistation SCPU PCB S2014-033-4 Working 13
Nikon 2S700-581 SCNT Board PCB Card 2S014-034-1 OPTISTATION 3 Used Working 1
Nikon 2S700-581 SCNT Board PCB Card 2S014-034-1 OPTISTATION 3 Used Working 16
Nikon Optistation MIC-CNT PCB 2S005-230 Working 1
Nikon Optistation MCR DRV PCB 2S003-056 Working 1
Oerlikon Unaxis 300mm CU Cylinder C40 102185985 New 1
IDL Semiconductor Equipment Unload Arm 8790135003 for ASMLP860 1
Nikon 2S701-009 NTP-NMC-T Board PCB Card 2S020-061-1 v1.09 U5 OPTISTATION 3 Used 2
SVG 90S Multiple Sensor Motherboard 99-80271-01 6
SVG 90S DC Distribution PCB 99-80308-01 MCE Mount 3
Nikon Optistation VDrive Lead Screw 9700-4698-01 4
Varian GPI 6U PCB 4428900 Working 2
AMAT Applied Materials 0100-00003 Stepper Drive PCB Card Used Working 9
Hamamatsu 8790084002 PMT Detector ASML SVGL860 1
Nikon Optistation MCR-CNT Board 4S005-341-2 Working 1
Hine Design PCB 778-2I Working 1
FSI International PC Interface Board 233-5002-00 Working 2
FSI International Communications PCB 233-5004-24 Working 1
Nikon Optistation Stage Interface PCB 2S003-038 Working 1
Nikon Optistation Camera Coupling Sort 81254 Working 2
SVG 90S GP Temperature Transmitter 303-075 Working 2
Metron Tech Analog Input PCB 882-70-000 New TAZ Eclipse 1
Nikon Fostec 8 Inch Single Lightline 80952 Working 3
Nikon Fostec Macro Slit Illuminator 80951 Working 3
Applied Materials AMAT H2/N2/HE Gas Line 0050-25218 New 1
Nikon Metro 300mm Motor Door Latch 9700-6101-01 New 2
Metron Tech 885-24-000 TAZ Eclipse Digital Interface PCB Board Used Working 1
Nikon Optistation MCR-ISA PCB 2S014-065 New 2
Nikon Dual Board Assembly PCB 9700-5327-01 Working 1
Gunda/Neugart Motor & Gear Assembly PAC11.1.1SBCA New 1
Thermo Electron Proteus 1 NPT Flow Meter 8952 New 1
Varian Graphite Entrance Liner E17175160 New 1
Oerlikon USA Unaxis Fixload Control PCB 102105235 New 1
SVG 90S Inflatable Bladder Filter 68-20170-908 New 1
Nikon 9700-5808-01 Load Port Latch Key Optistation New 3
CSF Technologies 170-12061-00 Hivac Bellow for TAZ Eclipse New 7
SVG 90S Wafer Flip Arm 87-90661-001 New 1
Nikon Optistation Amp Unit 2S259-012 New 3
SVG 90S Short Stroke Arm 85-19607-003 Working 1
Nikon POD Advance Lead Screw Assy 9700-4703-01 New 1
Varian Kestrel Mini-Convectron Gauge 4179100 New 1
Varian Charge Exchange Shield E17293680 New 1
Brooks Genus InCooler Cooling Station 001-4700-12 1
Brooks Automation 0404-11544 300mm Load Port FIXLOAD V5 AMAT 0190-15597 New 1
Shimadzu EI-D3603M Turbo Molecular Pump Controller AMAT 0010-32353 Working 8
TURBOTRONIK NT 20 Leybold 857 20 Pump Controller NT20 SW2.6 Used Tested Working 1
A.C.T. Kern 15" Display Monitor LI 1503N-CNDV working 1
Schaffner Power Filter FN356-25-24 working pair 1
Nikon NSR LM I/F PCB Board 4S018-214-1 working 1
Verteq 8045R4-2 Teach Pendant Used Working 1
Hitachi 3-833468-01 Upper Cover Set of 2 New Surplus 2
Hitachi Lower ER Covers 3-833469-01 new 4
AMAT CMP Polisher Kit 0240-06458 new 2
STEC SEC-7330M Mass Flow Controller 200 CCM SF6 Refurbished 1
AMAT 300mm Internal Membrane Clamp 0021-17972 1
MKS Instruments 122AA-00010-B-SP023-87 Baratron Pressure Transducer Used Tested 1
MKS Instruments 122BA-00100EB-S Baratron Pressure Transducer Used Tested 8
TEL Tokyo Electron 28214531T1140 200mm Electrode Cover New 1
MKS Instruments 122A-11063 Baratron Pressure Transducer Used Tested Working 5
AMAT Slurry/HPR Arm 0040-77267 working 3
MKS Instruments 127AA-00002E Baratron  Pressure Transducer Used Working 1
MKS Instruments 122B-11993 Baratron Pressure Transducer Used Tested Working 24
Nikon NSR-202 Stepper Power Controller RR-002V1.3 1
Carten Valve 300003-02 Lot G375PC2R LV P625 Body new 1
Carten Brass Valve 300004-02 Lot G625PC2R new 1
Carten Brass Valve 300028-02 Lot G500PC2R new 1
TDK ZAGT2280-M Noise Filter  250VAC lot of 3 working 1
Nikon NSR S202 RL-LIBHT1 PCB 4S018-473-2 working 1
Nikon NSR S202 Vacuum PCB WL3PNL2 4S018-058 working 1
Parker 1396.020 Stepping Motor Drive Digiplan AM5 Used Working 6
Nikon NSR S202 Light Source Module working 1
Nikon NSR S202 Wafer Mask Reticle Indexer working 1
TDK RM 12-12RGB DC Power Supply Nikon NSR Tested Working 1
AMAT Applied Materials 0030-76002 P5000 Mark II Front Cassette Bezel 1
AMAT Applied Materials 0030-76002 P5000 Mark II Front Cassette Bezel 2
AMAT Applied Materials 0100-09175 Interlock Board TEOS P5000 Precision 5000 1
Omega 6 Channel High Temp Alarm CN101 working 1
DIP Inc. CDN396 Bi-Directional Digital I/O PCB Card 15039603 New Surplus 6
MKS Instruments CDN396R PCB Card AS01396-6-3 New 19
MKS CDN391R PCB AS01391-22 0190-26786 Used Working 40
Shimadzu EI-D3603M Cable Set 262-78189-51V1 262-76411-51V2 AMAT 0620-02820 New 1
XP Power 10006055 DC Power Supply F7B6J6J6G2 New Surplus 13
Vicor MegaPAC DC Power Supply MP5-76563 New 1140-00384 6
Delta Design Model 1210 1666339 Rev R Handler Assy PCB working 4
Delta Design Model 1210 Handler Assy PCB 1666339 Rev T 3
Machine Tech. Motor Control III PCB 2217605501 Working 3
Machine Technology 2217605132 Processor II PCB Used Working 1
Machine Technology Processor II PCB 2217605133 Working 1
Mattson Technology Fiber Optic Assy. 263-14789-00 1
SCP Santa Clara Plastics 3270091G Process Controller SCP 9200 Wet Bench Used 8
SCP Santa Clara Plastics 3270091G Process Controller SCP 9200 Wet Bench Used 2
Santa Clara SCP 9200 System Status Module 3270091G 2
Santa Clara SCP 9200 System Status Module 3270091G 1
SCP Santa Clara Plastics 3270091G QDR Controller SCP 9200 Wet Bench Used Working 8
SCP Santa Clara Plastics 3270091G QDR Controller SCP 9200 Wet Bench Used Working 3
Cincinnati Electrosystems DynaComp 13" Monitor MB14 working 2
MDX-052 AE Advanced Energy 3152052-000 Magnetron Remote Interface Used Tested 1
AMAT Controller Backplane Board 0100-20454 working 8
PRI Transfer Robot ABM-507B-2-S-CE-S293 working 1
Yaskawa VS mini Drive Controller CIMR-XCAA21P5 working 1
Eurotherm 425A SRC Controller 40A/240V/220V240 working 11
AE Advanced Energy 3152189-000H LM-1.25K RF Match Used Working 5
Siemens Input Module 6ES5 318-8MB12 working 31
Tylan 2950 Series MFC Lot FC-2950MEP5-4V untested 1
Cymer Signal Conditioner Board 05-05031-15V Working 1
Delta Design 1686300-501 Power Distribution Board PCB Rev C 1
Delta Design Power Distribution Board 1686300-501 Rev D 1
Delta Design 68008 Bd PCB 1657925-501 Working 2
SVG Station CPU Board 80166FE-01 Working 4
SVG Station CPU Board 80166F1-01 Working 3
TLA Technology, Inc. PCB 519-000 Working 1
VMIC VMIVME Model 5530S PCB 333-000132-C  Working 2
Delta Design Push Bar/LCD Control PCB 1669755-501 1
Delta Design Quad Pressure Sensor Board 1669755-501 1
Electroglas X Theta Driver Assembly 247228-001 Rev. R Working 2
Force Computers 300000 CPU PCB Card SYS68K/SASI-1 Lam 17035-1-B-6562347 Used 1
Siemens Simatic Analog Input 6ES5 464-8ME11 working 16
Siemens Simatic Relay Output 6ES5 452-8MR11 working 8
Siemens Simatic Analog Input 6ES5 464-8MC11 working 36
Siemens Simatic Digital Output 6ES5 453-8MA11 working 37
Siemens Simatic Digital Output 6ES5 453-8MA11 working 19
Siemens Simatic Digital Output 6ES5 453-8MA11 working 12
Siemens I/O Digital Module 6ES5 482-8MA13 working 36
Siemens I/O Digital Module 6ES5 482-8MA13 working 27
SMC Pneumatic Cylinder NCDQTB125-UIA970691 1
MKS Equipment Leakage Current Interrupter 43PWRCORD04 1
Tokyo Electronics Indust. Flat Panel Monitor TE6036A7 (used) 1
LAM Research Etcher Wafer Shuttle Assembly 853-012500-001 1
LAM 4420 Etcher Edge Sensor Assembly 853-012550-002 1
Lam Research 810-17016-001 Stepper Motor Driver PCB Rev. D Rainbow 4420 Used 7
LAM 4420 Etcher RF Switch Box 853-017163-001-G-1997 1
Lam Research 810-17082-001 16 Channel Heat/Cool PCB 710-17082-1 4420 Used 3
LAM 4420 Etcher Solenoid Tray Assembly 853-013610-001 1
LAM Research 715-130080-008 200mm End Effector Rev. F Used Working 1
Kollmorgen MAG02-25021-001 2-Channel Magnet Driver AMAT 0190-16633 Used Working 2
Kollmorgen MAG02-25021-001 2-Channel Magnet Driver AMAT 0190-16633 Used Working 1
Ebara ET600W Turbo-Molecular Pump Controller 600W ETC04 PWM-20M Used Working 3
Ebara Turbo-Molecular Pump Controller 305W working 5
Olympus MDPlan 150 0.95 IC 150 Objective KLA-Tencor CRS-3000 Used 2
VAT Atmospheric Door L-VAT 0751-UA24-0002 new 1
Semicon Precision Industries A-1402 Lower Shield Assembly new 2
AMAT Network Controller Card 0190-76053 working 1
Schlumberger TLA DC Motor Driver Assembly 504-000 Working 2
Lam Research 810-17018-002 Gap Motor Controller BD PCB Rev. H Rainbow 4420 Used 1
LAM 4420 Etcher Operator Panel 852-017500-003-4 working 1
LAM Research 852-011061-503-E1-231 Lower Chamber 200mm 4420 Etcher 1
Edwards Vacuum Flash Module D37215020 working 1
Lam Research Focus Ring 055298 ACE BS33-390 new 1
Varian Servo Amplifier PBC Board E15000400 Rev. A working 1
MDC Vacuum Products 306005 Manual Gate Valve LGV-4000G NW100 Used Working 1
SVG 90S 99-80267-01 Rev. B PCB Shuttle Interface Board Used Working 1
TEL Tokyo Electron P-8 200mm Wafer Tray Loader Assembly CDQ2A32-75D-F79-XB9 used 1
SVG 90S PCB Shuttle Interface Board 99-80267-01 Rev. C Working 1
Applied Materials Pneumatic Valve Assembly 9010-00069 Working 1
Brooks Automation Gas Module 001-4808-12 Working Genus 7000 1
IEE Display PDK-004A-0WG Used Working 2
Logical Design Group Interface Board VME-2232-24 Working 9000-30-011 1
Brooks Automation Control Module 001-8185-06 Working Genus 7000 1
Verteq 1600-55A SRD Spin Rinse Dryer Controller Panel Used Working 1
Verteq SRD Control Module SC1600-5 Working 120V 1
TEL Tokyo Electron A116007 200mm Back Plane Heater MRC Materials Research New 7
MRC Eclipse Star 100mm Back Plane Heater A112654 New 4
MRC A121893 Eclipse Star 100mm Back Plane Heater New Surplus 4
MRC Eclipse Star SS Relay Assembly A129350 new 2
Nemic-Lambda HR-12F-36V DC Power Supply Used Working Surplus 2
MDC Lift Assembly ABLM-133-1 (KLH) Used Working 1
CoorsTek ADO Regulator Retrofit Kit 0190-07593 new P16-02-01Q J02 5
ABB SACE Isomax S3 Industrial Circuit Breaker S3B new 3104260 1
Tescom Pressure Regulator 44-2361-T9-051 new 43
Tokyo Electron D116700 Rev. B Etch Shield New 2
Fuji Seiki Inc. BV-4AXO-HF Throttle Valve 100mm working 1
Fuji Seiki Inc. BV-4AXO-HF Throttle Valve 100mm working 2
UNIT 8560 MFC Mass Flow Controller UFC-8565 300cc SiH4 new 3030-10585 2
TEL Tokyo Electron WVG-S2-Y-IBY Water Vapor Generator Cable Set working 1
ATMI Scrubber Dispenser SP4AAAAD-140304-N New 1
Unit Instruments UFC-8565 Mass Flow Controller AMAT 3030-10542 600cc He New 2
NOW Technology SR4BBAFB-050309 Smart Probe Press w/ Relief 4L New 2
Tokyo Electron P-8 QMC3 Board 3281-001184-17 Used Working 1
Tokyo Electron P-8 GPIB Board TVB3401-1/GPIB 3281-000019-15 Used Working 1
Unit UFC-1000 MFC SF6 50CC Mass Flow Controller Refurbished 1
TEL P-8 SIO Board 3281-000014-16 Used Working 1
MKS Instruments 128AA-00010B Baratron Pressure Transducer Type 128 Used Working 1
AMAT Applied Materials 9090-00668 Scan Optimization Module XR80 Used 1
Sti OF4172B-2 OptoFence 72" Light Curtain Reciever Transmitter Set Used Working 2
Tokyo Electron P-8 PST-STD PCB 3281-000142-12 Used Working 1
Edwards D37272800 Pump Display Terminal Controller Used Tested Working 1
Edwards D37272800 Pump Display Terminal Controller Used Tested Working 4
Edwards Gate Valve NGW414000 Used Working 3
GSNX Elevator Alignment Tool 73-0068 Used Working 1
Thermalogic 06-49879-01 PCB Control Board RA2011-11 SVG 90S DUV Used Working 8
SVG Silicon Valley Group 99-80295-01 Power Supply Safety Reset PCB Rev. D Used 2
SVG 90S Fluid Temperature Station CPU Board 99-80266-01 Rev. J Used Working 1
Microvision Prealigner MVT3080 Used Working 2
Sharp Microvision LCD Panel LM64C35P 7080 Used Working 3
MicroVision MVT4080 Wafer Aligner Used Working 3
Microvision MVT7080 Computer MVTPC70 Used Working 2
Eurotherm Temperature Controller U30003813 Lot of 2 Used Working 1
Edwards A52844413 im Pump Interface Module Used Working 2
Edwards A52844413 im Pump Interface Module Used Working 4
Tokyo Electron P-8 PST-OPT PCB 3281-000129-11 Used Working 1
TEL Tokyo Electron 3281-000080-11 I/O PCB TVB9003-1/316 Used Working 1
Edwards D37215000 Vacuum Flash Module Lot of 2 Used Working 3
KLA-Tencor TEL P-8 DP Video Board 710-806051-01 Rev. AO 3281-000051-11 Used 1
Compumotor Opti-Probe 2600B Indexer Motor Adapter PC-23 Used Working 1
Therma-Wave Opti-Probe 2600B Lens Block Assembly 18-011089 Rev. B Used Working 1
Therma-Wave Opti-Probe 2600B Lens Assembly 18-009253 Rev. B Used Working 1
Therma-Wave Opti-Probe 2600B 18-010493 Lens Block Lot of 5 Used Working 1
Kokusai CX1209P Vertical Diffusion Furnace Cassette Loader Panel working 6
Kokusai CX1209P Vertical Diffusion Furnace Cassette Loader Panel working 2
Kokusai VDF Vertron Exhaust Monitor D12549 Used Working 8
Kokusai Electric DN-130P(SA) Heater Over Temp Protection Unit Vertron Working 1
Kokusai Vertron Accuron Digital Controller CW1501A(01) Used Working 1
Kokusai VDF Vertron Controller CX1307 Used Working 7
Kokusai VDF Vertron Controller CX1307 Used Working 1
Square D Vertron Circuit Breaker KAL262001127 Lot of 4 Used Working 1
Pureron Kokusai Vertron Flow Meter DFM2000 Used Working 2
Kokusai Vertron Exhaust Controller CX1204 Used Working 4
Kokusai Vertron Driver Board PLMDRV4/A0 D1E01296 Used Working 4
Kokusai Vertron Control Board DIOA DIE01281 Used Working 1
Kokusai Vertron D1E01300B Control Board SIOB/A2 Used Working 1
Kokusai Furnace CPU Board DIE01294A KBCPU9/A1 Used Working 1
Kokusai Vertron Backplane PCB CX00BBA/O DIE01324 Used Working 1
Kokusai Accuron CQ1501A Digital Direct Controller Vertron Used Working 2
Kokusai Accuron CQ1501A Digital Direct Controller Vertron Used Working 2
Kokusai Vertron Power Module CX1103M Used Working 8
Kokusai Vertron SCOM1A A/2 Com PCB D1E01221B working 1
Kokusai Vertron Control Board DIE01277B MC16/A2 MSUB16/A1 Used Working 1
Kokusai Vertron Power PCB PFS/A1 D2E01080A Used Working 1
TDK TRM021GB DC Power Supply working Kokusai Vertron 1
KLA Instruments 710-806050-01 Video Interface PCB TEL Tokyo Electron P-8 Used 1
Acumen 10019 Vision Card Rev. E PCB TEL Tokyo Electron P-8 Used Working 1
Motorola TEL P-8 Control Board MVME 147-023 3286-000516-12 Used Working 1
Electroglas Wafer Loader Interface PCB 248228-002 Rev. N Used Working 1
Electroglas 254921-001 Prealign Module Interface Board PCB Rev. B Used Working 3
Elctroglas Lens Illuminator Assembly 255337-001 Rev. A Used Working 1
Electroglas 200mm Prealigner Assembly 4085X Used Working 1
Electroglas 4085X Camera Assembly 250957-001B 249006-001F 249014-001B Used 2
Electroglas 4085X 247012-001 Rev. E Drive Shaft Assembly Used Working 2
Electroglas Remote Microscope Control 4085X Used Working 1
Electroglas 248057-001 A End Effector Assembly Used Working 1
Exynetics-Electroglas Display Control Module DCM3 Used Working 1
TEL Tokyo Electron 3208-000141-12 PCB Indexer Base 97 3281-000141-12 P-8 Used 1
TEL Tokyo Electron 3281-000090-13 INKR DRV/SACC Interconnect 3208-000090-12 Used 1
TEL Tokyo Electron 3208-000091-11 PCB AIR/HF Interconnect 3281-000091-11 Used 1
TEL Tokyo Electron 3281-000089-11 PCB Bridge Interconnect 2 3208-000089-11 Used 2
TEL Sony P-8 Camera Module M8C37404-1 XC-75 Used Working 1
Tokyo Electron P-8 Control PCB 3281-000138-12 Used Working 1
Sanyo Denki PMM-BD-5705-1 Motor Driver PCB Lot of 2 Used Working 1
KLA-Tencor 0040615-002 LCD ROW COL Driver Right Lens Rev. AC AIT Fusion UV Used 1
KLA-Tencor 0040615-002 LCD ROW COL Driver Right Lens Rev. AC AIT Fusion UV Used 1
KLA-Tencor 0033116-001 Mirror and Lens Assembly 0033233-001 AIT Fusion Used 1
Telemecanique ATV18U29M2 1.5kW 2HP Inverter Square D ALTIVAR 18 Used Working 1
Motorola 01-W3866B54B SBC Single Board Computer Card MVME 162-262 Used Working 1
Asyst Technologies 06763-005 48V Control Board PCB ABX-66242-09-00 Used Working 1
GaSonics A95-108-02 LED and Interface Control Panel PCB Rev. J A89-013-01 As-Is 1
GaSonics A95-108-02 LED and Interface Control Panel PCB Rev. H A89-013-01 Used 1
GaSonics A95-108-02-RE-E LED and Interface Control Panel PCB A89-013-01 As-Is 1
GaSonics A95-107-01 LED and Interface Control Panel Rev. J Used Working 3
Edwards NGW415000 Pneumatic Gate Valve Copper Exposed Damaged Connector As-Is 2
Contec DAI12-8C(PC) Isolated 8 Channel Digital Analog PCB Card 9867C Used 3
Contec ADI12-8CL(PC) Isolated 8 Channel Analog to Digital PCB Card 9858B Used 6
Contec BUS-PAC(PC)E ISA Bus Expansion Board PCB Card 7024F Used Working 3
Contec COM-2(PC)F Communication Board PCB Card 7065 Used Working 3
Acrosser Technologies AR-MB11 Backplane Board PCB VER:2.1 Used Working 3
Omron C200H-PRO27-E Hand Held PLC Programming Console PRO27 Used Working 1
Hitachi CR-712T-AC Wafer Transfer Clean Robot Sanki Technos Used Working 1
Hitachi CR-712T Series Wafer Transfer Clean Robot Sanki Missing Sensors As-Is 1
Hitachi CR-712V Wafer Transfer Clean Robot No End Effector Sanki M-712E Used 2
Hitachi CR-712V Wafer Transfer Clean Robot No End Effector Sanki M-712E Used 3
Hitachi CR-712VC Wafer Transfer Clean Robot Sanki Technos Used Working 2
TEL Tokyo Electron IRA Interface Block Robotics Arm ACT12 200mm Damaged As-Is 1
TEL Tokyo Electron IRA Interface Block Robotics Arm ACT12 200mm Damaged As-Is 2
TEL Tokyo Electron IRA Interface Block Robotics Arm ACT12-300 300mm Used Working 1
TEL Tokyo Electron IRA Interface Robotics Arm ACT12 300mm No Pincette Used 1
TEL Tokyo Electron IRA Interface Robotics Arm ACT12 300mm No Pincette Used 1
Sanyo Denki 103F7851-70XE44 Stepping Motor StepSyn Reseller Lot of 5 Used 3
DNS Dainippon Screen PC-02032C-0123 SIF Interface VMEbus Card PCB SIF-A Used 6
Advanet Advme1522A Fiber Optic Interface VMEbus Card PCB Advme 1522A Used 1
SRC R14870 VME Systembus 20-Slot Backplane Board PCB Used Working 1
SRC SVB-03E VME Systembus 32 Bit IO Bus Expansion Back Board PCB Used Working 1
Ramix PMC422/423 Timer and Interface Board Omron H3FA-SA Used Working 1
A-B Allen Bradley 96844675 PLC-5/12 Processor Module PLC PCB Card 1785-LT3 Used 1
A-B Allen Bradley 96844671 PLC-5/12 Processor Module PLC PCB Card 1785-LT3 Used 1
A-B Allen Bradley 96133673 High True Input Module PLC PCB Card 1771-IBN/B Used 2
A-B Allen Bradley 1771-IBN/B 10-30 VDC High True Input Module PLC PCB Card Used 1
A-B Allen Bradley 1771-IBN 10 To 30 VDC High True Input Module PLC PCB Card Used 3
A-B Allen Bradley 1771-OBN 10 To 30 VDC High True Input Module PLC PCB Card Used 6
A-B Allen Bradley 1771-OFE2 B Analog Output 12 Bit Module PLC PCB Card Used 6
A-B Allen Bradley 1771-IFE A Analog Intput 12 Bit Module PLC PCB Card Used 6
A-B Allen Bradley 960203 CFI Backplane Board PCB 96066522 Used Working 2
版权声明:机电一体化网转载作品均注明出处,本网未注明出处和转载的,是出于传递更多信息之目的,并不意味 着赞同其观点或证实其内容的真实性。如转载作品侵犯作者署名权,或有其他诸如版权、肖像权、知识产权等方面的伤害,并非本网故意为之,在接到相关权利人通知后将立即加以更正。联系电话:0571-87774297。
企业信息
厦门翔麟奕科技有限公司
会员级别:试用会员
------------ 联系方式 ------------
联系人:叶先生(先生)
联系电话:0592-15260226267
联系手机:15260226267
传真号码:0592-15260226267
企业邮箱:792791746@qq.com
网址:
邮编:361102
地址:厦门市翔安区民安街道莲亭路837号39楼702
今日最新资讯
热门资讯
0571-87774297